1. ghdl -a key_expansion.vhd key_expansion_tb.vhd
  2. ghdl -e key_expansion_tb
  3. key_expansion.vhd:46:1:warning: 's0' is not bound
  4. key_expansion.vhd:24:14:warning: (in default configuration of key_expansion(example))
  5. key_expansion.vhd:47:1:warning: 's1' is not bound
  6. key_expansion.vhd:24:14:warning: (in default configuration of key_expansion(example))
  7. key_expansion.vhd:48:1:warning: 's2' is not bound
  8. key_expansion.vhd:24:14:warning: (in default configuration of key_expansion(example))
  9. key_expansion.vhd:49:1:warning: 's3' is not bound
  10. key_expansion.vhd:24:14:warning: (in default configuration of key_expansion(example))
  11. key_expansion.vhd:51:1:warning: 'r0' is not bound
  12. key_expansion.vhd:24:14:warning: (in default configuration of key_expansion(example))
  13. ghdl -r key_expansion_tb --wave=key_expansion.ghw