1. key_expansion_tb: key_expansion.vhd subbytes.vhd round_constant.vhd key_expansion_tb.vhd
  2. ghdl -a $(GHDL_ARGS) $^
  3. ghdl -e $(GHDL_ARGS) $@
  4. ghdl -r key_expansion_tb --wave=key_expansion.ghw
  5. clean:
  6. rm *.o *.cf *.ghw
  7. view:
  8. gtkwave key_expansion.ghw

makefile