- key_expansion_tb: key_expansion.vhd subbytes.vhd round_constant.vhd key_expansion_tb.vhd
- ghdl -a $(GHDL_ARGS) $^
- ghdl -e $(GHDL_ARGS) $@
- ghdl -r key_expansion_tb --wave=key_expansion.ghw
- clean:
- rm *.o *.cf *.ghw
- view:
- gtkwave key_expansion.ghw
makefile