1. [promach@localhost parallella-fpga]$ make
  2. make -C AdiHDLLib/ lib
  3. make[1]: Entering directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib'
  4. make -C library/ all
  5. make[2]: Entering directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib/library'
  6. make -C axi_clkgen
  7. make[3]: Entering directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib/library/axi_clkgen'
  8. rm -rf *.cache *.data *.xpr *.log component.xml *.jou xgui .Xil
  9. vivado -mode batch -source axi_clkgen_ip.tcl >> axi_clkgen_ip.log 2>&1
  10. make[3]: *** [Makefile:44: axi_clkgen.xpr] Error 1
  11. make[3]: Leaving directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib/library/axi_clkgen'
  12. make[2]: [Makefile:96: lib] Error 2 (ignored)
  13. make -C axi_hdmi_tx
  14. make[3]: Entering directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib/library/axi_hdmi_tx'
  15. rm -rf *.cache *.data *.xpr *.log component.xml *.jou xgui .Xil
  16. vivado -mode batch -source axi_hdmi_tx_ip.tcl >> axi_hdmi_tx_ip.log 2>&1
  17. make[3]: *** [Makefile:56: axi_hdmi_tx.xpr] Error 1
  18. make[3]: Leaving directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib/library/axi_hdmi_tx'
  19. make[2]: [Makefile:97: lib] Error 2 (ignored)
  20. make -C axi_spdif_tx
  21. make[3]: Entering directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib/library/axi_spdif_tx'
  22. rm -rf *.cache *.data *.xpr *.log component.xml *.jou xgui .Xil
  23. vivado -mode batch -source axi_spdif_tx_ip.tcl >> axi_spdif_tx_ip.log 2>&1
  24. make[3]: *** [Makefile:46: axi_spdif_tx.xpr] Error 1
  25. make[3]: Leaving directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib/library/axi_spdif_tx'
  26. make[2]: [Makefile:98: lib] Error 2 (ignored)
  27. make[2]: Leaving directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib/library'
  28. make[1]: Leaving directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/AdiHDLLib'
  29. make -C oh/src/parallella/fpga/parallella_base all
  30. make[1]: Entering directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/oh/src/parallella/fpga/parallella_base'
  31. vivado -mode batch -source run.tcl
  32. ****** Vivado v2016.2 (64-bit)
  33. **** SW Build 1577090 on Thu Jun 2 16:32:35 MDT 2016
  34. **** IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016
  35. ** Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
  36. source run.tcl
  37. # source ./system_params.tcl
  38. ## set design parallella_base
  39. ## set projdir ./
  40. ## set root "../../.."
  41. ## set partname "xc7z020clg400-1"
  42. ## set hdl_files [list \
  43. ## $root/parallella/hdl \
  44. ## $root/common/hdl/ \
  45. ## $root/emesh/hdl \
  46. ## $root/emmu/hdl \
  47. ## $root/axi/hdl \
  48. ## $root/emailbox/hdl \
  49. ## $root/edma/hdl \
  50. ## $root/elink/hdl \
  51. ## ]
  52. ## set ip_files [list \
  53. ## $root/xilibs/ip/fifo_async_104x32.xci \
  54. ## ]
  55. ## set constraints_files []
  56. # source ../../../common/fpga/create_ip.tcl
  57. ## create_project -force $design $projdir -part $partname
  58. ## set_property target_language Verilog [current_project]
  59. ## set_property source_mgmt_mode None [current_project]
  60. ## if {[string equal [get_filesets -quiet sources_1] ""]} {
  61. ## create_fileset -srcset sources_1
  62. ## }
  63. ## add_files -norecurse -fileset [get_filesets sources_1] $hdl_files
  64. ## set_property top $design [get_filesets sources_1]
  65. ## if {[string equal [get_filesets -quiet constraints_1] ""]} {
  66. ## create_fileset -constrset constraints_1
  67. ## }
  68. ## if {[llength $constraints_files] != 0} {
  69. ## add_files -norecurse -fileset [get_filesets constraints_1] $constraints_files
  70. ## }
  71. ## if {[llength $ip_files] != 0} {
  72. ##
  73. ## #Add to fileset
  74. ## add_files -norecurse -fileset [get_filesets sources_1] $ip_files
  75. ##
  76. ## #Set mode for IP
  77. ## foreach file $ip_files {
  78. ## #TODO: is this needed?
  79. ## set file_obj [get_files -of_objects [get_filesets sources_1] $file]
  80. ## set_property "synth_checkpoint_mode" "Singular" $file_obj
  81. ## }
  82. ## #RERUN/UPGRADE IP
  83. ## upgrade_ip [get_ips]
  84. ## }
  85. INFO: [IP_Flow 19-234] Refreshing IP repositories
  86. INFO: [IP_Flow 19-1704] No user IP repositories specified
  87. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2016.2/data/ip'.
  88. WARNING: [IP_Flow 19-2162] IP 'fifo_async_104x32' is locked:
  89. * IP definition 'FIFO Generator (12.0)' for IP 'fifo_async_104x32' has a newer major version in the IP Catalog.
  90. * IP definition 'FIFO Generator (12.0)' for IP 'fifo_async_104x32' has a different revision in the IP Catalog.
  91. Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
  92. ERROR: [Common 17-107] Cannot change read-only property 'synth_checkpoint_mode'.
  93. Resolution: Please refer to Vivado Properties Reference Guide (UG912) for more information on setting properties.
  94. while executing
  95. "source ../../../common/fpga/create_ip.tcl"
  96. (file "run.tcl" line 4)
  97. INFO: [Common 17-206] Exiting Vivado at Tue Dec 13 08:53:26 2016...
  98. make[1]: *** [Makefile:18: all] Error 1
  99. make[1]: Leaving directory '/home/promach/Documents/Grive/Personal/Digital/Parallella/parallella/parallella-fpga/oh/src/parallella/fpga/parallella_base'
  100. make: *** [Makefile:8: all] Error 2