1. AVSREQ-127683 | JUPITER_BRIDGE | Jupiter simulation failure (was ~4x sim slow down)
  2. AVSREQ-127594 | XPROPAGATION_GENERAL | xmvlog_cg: *E, NOTPAR with both xprop enabled and -access +rwc
  3. AVSREQ-126960 | SIM_VHDL | Internal exception when calling finish with DPI present in environment
  4. AVSREQ-126633 | LP_1801 | Use of * does not work with -isolation_signal
  5. AVSREQ-126487 | MSIE_ELAB | Xcelium 20.07 AGILE crash in Assertion
  6. AVSREQ-126165 | SPECMAN_E | OTF GC during string match causing wrong results
  7. AVSREQ-126077 | SIM_SV | sv_seghandler coming from sv stream
  8. AVSREQ-125037 | XPROPAGATION_GENERAL | X-Propagation Disabled for No if and no case in VHDL
  9. AVSREQ-124836 | DMS_ELAB | Need to resolve amsspice warning about multiple -libmap and multiple -top, incorrect bindings since the provided libmap
  10. AVSREQ-124817 | DMS_LP_AMS | LP AMS Elaboration Crash
  11. AVSREQ-124765 | SV_CLASSES | Typed contructor Elab changes
  12. AVSREQ-124708 | GLS_GENERAL | The number of UDP inputs allowed exceeds current maximum limit (15) . Is there any workaround possible for error UDPNIN?
  13. AVSREQ-124687 | JUPITER_COMPILER | portbus with width greater than 2000 causing increase in elaboration time and memory
  14. AVSREQ-124631 | SV_PERFORMANCE | xmelab performance - after cu_optimize::cu_zoptimize
  15. AVSREQ-124597 | SPECMAN_INTEF | Driving list of bit port fails
  16. AVSREQ-124582 | DEBUG_DESIGN_DATABASE | lwdgen performance issue - nested forgen
  17. AVSREQ-124342 | XRUN_GENERAL | Option -autofetch is not properly managed along with other options
  18. AVSREQ-124059 | LP_1801 | remove lps_enable_merge_ho_split from NEWPERF
  19. AVSREQ-123828 | PROFILER_SIM_MEMORY | fanout information for expanded nets
  20. AVSREQ-123765 | VPI_GENERAL | SYSTF INVHIER when passing hierarchy that includes space to -xmhierarchy
  21. AVSREQ-123648 | LP_1801 | when lps_infer_upf_supply is used MULSPLY is not created when there are conflicting drivers
  22. AVSREQ-123639 | DESIGN_DATABASE | Investigate: Parameter displayed as -1 instead of actual value in LWD - correct in Snapshot
  23. AVSREQ-123592 | COVERAGE_ALL_COVERAGES | vManager Client crashes when trying to rank session runs including Formal runs - root cause is not clear
  24. AVSREQ-123516 | DMS_LP_AMS | XMSIM internal error MESSAGE: ams_pwr_net2dms_enable
  25. AVSREQ-123515 | SPECMAN_INTEF | Runtime error at method_port invocation from SV class instance
  26. AVSREQ-123490 | UVM_SV | uvm_re_match returns wrong match result
  27. AVSREQ-123442 | DEBUG_DESIGN_DATABASE | waveform annotation and power browser infromation for isolated modport signal is incorrect
  28. AVSREQ-123339 | RAND_SOLVER | xmsim: *F,RNDUNR: XCELIGEN assertion failed - elem->is_field()
  29. AVSREQ-123328 | JUPITER_SOUTH | internal error in South *F,MCEASRT
  30. AVSREQ-123302 | FUNC_SAFETY_CONCURRENT | MESSAGE: sv_seghandler - trapno -1 addr((nil))
  31. AVSREQ-123221 | GLS_GENERAL | Xcelium build crash "Illegal writer - cag_get_driving_expr" (xmelab)
  32. AVSREQ-123174 | SIM_SV | xmsim *F sv_seghandler
  33. AVSREQ-123158 | DEBUG_DESIGN_DATABASE | Indago does not support showing isolation rules on interface signals
  34. AVSREQ-123149 | SV_GENERAL | -ii_write doesn't work for NBAs in packed MDAs - further enhancement
  35. AVSREQ-123144 | LP_1801 | RD; Use dot as a hierarchy separator for generate blocks in 'find_objects'
  36. AVSREQ-123143 | ELAB_BIND | Using verilog compiled configuration in vhdl: BILCNF-error when using makelib/endlib
  37. AVSREQ-123116 | LP_1801 | update XRIO upf file to include location self
  38. AVSREQ-123075 | ELAB_SV_VHDL | Allow writing of mixed OOMR (from SV terminating in VHDL) support for additional VHDL datatypes (integer, real, arrays of above)
  39. AVSREQ-123062 | CORE_RAND | Randomization clone not reproducing the contradiction message
  40. AVSREQ-122958 | JUPITER_ENGINE | Support the -delay_udp_xminitialize option when Jupiter supports xminitialize of state variables.
  41. AVSREQ-122858 | SV_INTERFACE | getting xmelab internal exception during ixcom compilation flow
  42. AVSREQ-122857 | SPECMAN_INTEF | var_opt_core optimization causes a Specman warning: DEPR_VERILOG_WIRE_UNDEFINED
  43. AVSREQ-122852 | COVERAGE_ALL_COVERAGES | Elaboration of VHDL with Generics issues Error *E,GENXCOV
  44. AVSREQ-122787 | UVM_SV | uvm_re_match has different behavior when string order is different
  45. AVSREQ-122762 | JUPITER_ENGINE | Add Multi-core support for -force_sequdp_xminitialize
  46. AVSREQ-122722 | JUPITER_COMPILER | Fix the can_apply_ff_sens for x_latch_out
  47. AVSREQ-122721 | JUPITER_SOUTH | Improve calc_ff_sens_index to distinguish between ranks of clk temperature
  48. AVSREQ-122658 | CORE_RAND | False warning *W,RNDFUNAC when static variables are in functions
  49. AVSREQ-122612 | MSIE_ELAB | Unexpected VIFUCOM not in 20.05.v002
  50. AVSREQ-122611 | MSIE_ELAB | CUVUNF for out of primary function call
  51. AVSREQ-122610 | MSIE_ELAB | CUVUNF for bind module in MSIE
  52. AVSREQ-122609 | MSIE_ELAB | CNOIFC error not in 20.05.v002
  53. AVSREQ-122509 | LP_1801 | Spurious SVINMP for interface in bind
  54. AVSREQ-122507 | GLS_GENERAL | GLS: support DU names size more than 1023 character
  55. AVSREQ-122505 | LP_1801 | Spurious LIBNOCON for internal power of liberty inside another liberty
  56. AVSREQ-122471 | DMS_MSIE | Partitioner does not discard partition which leads to MSIE real to unreal boundary port connection error INCUSC
  57. AVSREQ-122441 | ASSERTION_SVA | Misleading errors for procedural concurrent assertion
  58. AVSREQ-122404 | SV_PERFORMANCE | NOTPAR error at elaboration
  59. AVSREQ-122374 | SV_CLASSES | Unexplained ITPREF error
  60. AVSREQ-122305 | PARSE_SV | Xcelium giving build error when SVA sequence is named "followed_by"
  61. AVSREQ-122108 | MSIE_PERFORMANCE | redundant pak files are created and being read in bbox flow
  62. AVSREQ-122105 | ELAB_SV | CLONE - Elaboration Error (INTERR)
  63. AVSREQ-122104 | MSIE_ELAB | xmelab error: *E,VIFUCOM - incremental snapshot
  64. AVSREQ-122026 | LP_1801 | The always block does not execute inside a power aware model.
  65. AVSREQ-122020 | LP_1801 | Elements in Tcl list for isolation are not being processed
  66. AVSREQ-121980 | SV_CODEGEN | xmvlog_cg crashing for user defined system task function call
  67. AVSREQ-121962 | SV_INTERFACE | Tool Crash(INTERR) during Elaboration - cu_vifc_verify_lpvi_msie_combination
  68. AVSREQ-121958 | DMS_LP_AMS | UPF VCT is not mapping real value for UPF Voltage from UDN VDD net to UPF supply_net in 20.03-v
  69. AVSREQ-121834 | PROFILER_SIM_RUNTIME | -profile ,-prof_dump options does not work for a hang simulation
  70. AVSREQ-121827 | LP_SIM_PERF | xmelab INTERR : cu_vifc_check_access - unmark flags mismatched
  71. AVSREQ-121813 | PARSE_SV | xmvlog crash with message "apx - can't abstract pointer"
  72. AVSREQ-121667 | ELAB_SV | Elaboration crash when bringing up Modem environment with Xcelium
  73. AVSREQ-121642 | RAND_SOLVER | TRAT Ignored constraint (wrong solved results)
  74. AVSREQ-121635 | LP_1801 | xmelab Internal Error in Low Power
  75. AVSREQ-121538 | ELAB_SV | xmelab CRASH with $error( $psprintf("%d ",$time) );
  76. AVSREQ-121529 | RAND_DEBUG | -xceligen oc_format=1 doesn't work
  77. AVSREQ-121324 | CORE_RAND | Could not impose constraints on protected int queue: Internal error
  78. AVSREQ-121306 | LP_1801 | MULSPLY highlights a bug in incorrect PG connection
  79. AVSREQ-121280 | DEBUG_DESIGN_DATABASE | Source Code for scopes are not displayed in MSIE LWD
  80. AVSREQ-121186 | MCE_XTIMATE | -mce_acc_estimation not allowing to complete simulation. Gives ZD loop warning.
  81. AVSREQ-121057 | RAND_SOLVER | TRAT seems to drop constraint and cause contradiction
  82. AVSREQ-120974 | RAND_SOLVER | Solver generates incorrect value in TRAT mode for implication constraints
  83. AVSREQ-120947 | SV_CODEGEN | E,DLNORD with successful compile
  84. AVSREQ-120896 | MSIE_ELAB | xmelab crash with cuabv_cmp_asrt_details_by_pibid
  85. AVSREQ-120806 | MSIE_ELAB | Internal error with MSIE xmelab: *F,INTERR: INTERNAL EXCEPTION
  86. AVSREQ-120756 | CORE_RAND | Solver timeout on foreach loop in constraint
  87. AVSREQ-120677 | JUPITER_ARCHITECTURE | supply nets causing NACCed items
  88. AVSREQ-120675 | JUPITER_BRIDGE | Continuous assignment delays on combinational cells being NACCed
  89. AVSREQ-120659 | RAND_GENERAL | Randomization fails without information, doesn't generate tc clone
  90. AVSREQ-120658 | DMS_MSIE | Primary recompilation while using MSIE single step flow
  91. AVSREQ-120651 | SV_INTERFACE | Primary elaboration crash with UPF
  92. AVSREQ-120648 | DMS_VLOG | SVRNM: Support for $value$plusargs statement in a resolution function
  93. AVSREQ-120606 | SV_CODEGEN | INTERR MESSAGE: gq_st_gen_swbabp - class default
  94. AVSREQ-120530 | XPROPAGATION_PERFORMANCE | Performance issue with 20.05 non-blocking assignment
  95. AVSREQ-120525 | RAND_SOLVER | Tool Crash during simulation when TRAT - solver enabled
  96. AVSREQ-120514 | RAND_PERFORMANCE | Randomization stuck with MOD operator
  97. AVSREQ-120489 | DMS_MSIE | Replicated Top + Spice OOMR is generating CUVTNH errors
  98. AVSREQ-120483 | ASSERTION_SVA | property wrongly activated when ##PARAM
  99. AVSREQ-120363 | SV_PERFORMANCE | Optimization breaking functionality in a fork block
  100. AVSREQ-120321 | DEBUG_DESIGN_DATABASE | Enum signal is incorrectly text-ref annotated with localparam value of same name
  101. AVSREQ-120306 | MSIE_ELAB | Elaboration crash while making an incremental snapshot of DSS
  102. AVSREQ-120279 | JUPITER_RTL_SC | simulation failure with Jupiter early access w464
  103. AVSREQ-120181 | MULTI_CORE_ENGINE | simdiff : start_time end_time not working correctly
  104. AVSREQ-120172 | MSIE_ELAB | Support number of partitions control in automsie flow
  105. AVSREQ-120159 | VHDL_PERFORMANCE | simulator locks when probing at time 0.
  106. AVSREQ-120150 | DMS_SVAMS | New SVAMS Parser (-svams_2019) nullifies the effect of -honorvams
  107. AVSREQ-120110 | DMS_WREAL | *E CICINT error when mapping scalar net type in concatenation
  108. AVSREQ-120071 | DMS_LP_AMS | 20.05.a001 MESSAGE: ams_pwr_net2dms_enable xmsim: *F,INTERR: INTERNAL EXCEPTION
  109. AVSREQ-120070 | COVERAGE_CODE | specialize_if_type_has_covergroup incorrectly uses wrong type for instances with different type parameters used
  110. AVSREQ-120064 | DMS_MSIE | Coercion fails with Auto MSIE
  111. AVSREQ-120054 | COVERAGE_ALL_COVERAGES | xcelium coverage command generates *E,GENXCOV for vhdl-2008 generate
  112. AVSREQ-120039 | SIM_PERFORMANCE | Debugging profile entry ENABLE(n), tail, rtn (method)
  113. AVSREQ-119988 | PARSE_SV | xmelab error with NOTPAR
  114. AVSREQ-119966 | LP_1801 | Signal tied to '0 not corrupted correctly during shutoff
  115. AVSREQ-119960 | MSIE_ELAB | LWD support in MSIE bbox flow for Indago
  116. AVSREQ-119959 | XPROPAGATION_GENERAL | X-prop distinct warnings for XFOVRD with same mode and different
  117. AVSREQ-119951 | CORE_RAND | Random stability lost when adding breakpoint or reset
  118. AVSREQ-119948 | LP_1801 | Signal tied to 0 does not get propagated at power up
  119. AVSREQ-119897 | MSIE_ELAB | xmelab error: *E,VIFUCOM when running using EHF 20.06.e436
  120. AVSREQ-119888 | VPI_GENERAL | setting vc call back on indexes changing specific indexes: all cb methods are called
  121. AVSREQ-119872 | MSIE_ELAB | CUVUNF reported when -automsie is used, for an object that is accessed in CU scope
  122. AVSREQ-119836 | CORE_RAND | could not process distribution constraint due to change in polymorphic handle type
  123. AVSREQ-119817 | DEBUG_DESIGN_DATABASE | Simvision does not display type definition for reg type state in FSM.
  124. AVSREQ-119796 | XPROPAGATION_GENERAL | simulation mismatch related to xprop CASEXZ and UCASE
  125. AVSREQ-119793 | SV_PORTS | CUVDSI warning generated as xmelab cannot connect signal in parent generate block via .* port connection notation
  126. AVSREQ-119787 | RAND_SOLVER | randomization crash: xmsim: *F,RNDUNR: XCELIGEN assertion failed
  127. AVSREQ-119784 | SIMVISION_WAVEFORMS | Simvision save command script has spinning wheel when selecting different location.
  128. AVSREQ-119781 | SIM_MCLITE | Receiving INTERNAL EXCEPTION when running xrun -mcl option.
  129. AVSREQ-119708 | XRUN_SYSC | [Xcelium] Compatibility between Xcelium and OpenSSL on RHEL-7
  130. AVSREQ-119692 | DMS_SIM | $cged is returning incorrect value when EEnet is initially driven to zero volts
  131. AVSREQ-119640 | DMS_PERF | DMS Performance: Reduce Digital Islands overhead for customer SV+SPICE(co-sim) designs
  132. AVSREQ-119637 | DMS_MSIE | MSIE+SVRNM: SV wreal size mismatch and one bit bus to scalar
  133. AVSREQ-119506 | SIM_PERFORMANCE | Elab with VTW crashed with MESSAGE: sv_seghandler - trapno -1 addr(0x15d951d620)
  134. AVSREQ-119367 | SIMVISION_WAVEFORMS | Sequence time is not expanding at the end of a simulation
  135. AVSREQ-119352 | LP_1801 | Elab crash with UPF
  136. AVSREQ-119292 | DMS_MSIE | Replicated Top + Spice OOMR - strange tool exits during xrun reported by customer
  137. AVSREQ-119289 | DMS_MSIE | Replicated Top + Spice OOMR - strange tool exits during xrun reported by customer
  138. AVSREQ-119287 | CORE_RAND | Dynamic Test Simulation Crash in native code (unknown stream)
  139. AVSREQ-119280 | VPI_GENERAL | uvm_hdl_force on typedef struct throwing an error
  140. AVSREQ-119277 | FUNC_SAFETY_CONCURRENT | MESSAGE: sv_seghandler - trapno -1 addr((nil))
  141. AVSREQ-119256 | ELAB_SV | CUVURH warning not generated when RTL compiled as SV
  142. AVSREQ-119255 | ELAB_SV | $bits with XMR to SV interface failed - xmelab: *E,NOTDOT
  143. AVSREQ-119251 | CORE_SV_IN | Internal exception when simulating after putting breakpoints in UVM code.
  144. AVSREQ-119205 | SIM_PERFORMANCE | ELAB *F,INTERR: INTERNAL EXCEPTION, dt_get_known_datatype hit unknown datatype
  145. AVSREQ-119178 | ELAB_SV | local param calculate result different from DSG tools and other simulators
  146. AVSREQ-119080 | CORE_RAND | RNDCNSTE out of bound index in array reference found on CX mode
  147. AVSREQ-119001 | ELAB_SV | xmelab locks files despite -nolock -rexp
  148. AVSREQ-118939 | SIM_PERFORMANCE | Functional failure with assignment loop due to -enable_forgen_amalg
  149. AVSREQ-118760 | SIM_USABILITY | genafile does not add typedef datatype with uvm_hdl_force
  150. AVSREQ-118747 | RAND_SOLVER | Solver does not honor constraints in foreach loop
  151. AVSREQ-118744 | DEBUG_DESIGN_DATABASE | x-prop clock and reset not reported as possible drivers
  152. AVSREQ-118722 | RAND_SOLVER | Randomization difference between AARCH64 and X86
  153. AVSREQ-118710 | RELEASE_INSTALLATION | Configuration step on LinuxOnPower returns error against transrecord.
  154. AVSREQ-118662 | MSIE_ELAB | automsie does not work with -fast_recompilation
  155. AVSREQ-118648 | MSIE_ELAB | Allow primtop with multiple views for the same module
  156. AVSREQ-118626 | LP_1801 | xmelab 20.06-e404-20200525 INTERNAL ERROR
  157. AVSREQ-118580 | MSIE_ELAB | Light weight bbox solution
  158. AVSREQ-118532 | SIM_USABILITY | genafile does not add signals with uvm_hdl_force to afile
  159. AVSREQ-118517 | LP_1801 | XRIO: Need to have a soft-error when signal specified in iso_enable field (converted to -isolation_signal by xrio) doesn't exist.
  160. AVSREQ-118513 | LP_1801 | . is replaced with / in the instance name in find_objects command
  161. AVSREQ-118467 | SIM_PERFORMANCE | Performance optimizations in CCPMU
  162. AVSREQ-118457 | GLS_TIMING | Enhance Tcl cmd tcheck to support "-recursive" option to support all beneath level instances
  163. AVSREQ-118455 | SIM_PERFORMANCE | VTW fails to convert local logic signals
  164. AVSREQ-118436 | XPROPAGATION_PERFORMANCE | The memory consumption keep increasing as xmsim runs when xprop is enabled.
  165. AVSREQ-118416 | XRUN_GENERAL | Extremely long +incdir path results in Internal Exception during xmelab
  166. AVSREQ-118415 | RAND_SOLVER | rnc internal variable not disabled by rand_mode(0)
  167. AVSREQ-118398 | SV_PERFORMANCE | Wrong sim behavior due to deadcode optimization in Xcelium 20.03.v001 and on.
  168. AVSREQ-118367 | ELAB_BIND | Customer gets CUIMBC error when connection path has bind
  169. AVSREQ-118332 | DMS_ELAB | xrun restart cannot find verilogA compilation directory
  170. AVSREQ-118276 | FUNC_SAFETY_SIM | Serial engine generate inconsistent default seeds
  171. AVSREQ-118251 | SV_GENERAL | -ii_write doesn't work for packed MDAs - further enhancement
  172. AVSREQ-118246 | SV_GENERAL | -ii_val causing incorrect behavior on packed MDA
  173. AVSREQ-118244 | DMS_LP_AMS | xmelab INTERR when using -newperf in low power build
  174. AVSREQ-118238 | SV_GENERAL | ii_val breaks with certain code
  175. AVSREQ-118182 | DYNAMIC_TEST_ELAB | consistency checking xmvlog/xmelab/xmsim.args between Save Sim vs Dynamic Test Sim
  176. AVSREQ-118149 | PARSE_SV | Weird parser error that writes out chunk of source code in log file
  177. AVSREQ-118106 | ASSERTION_SVA | xmvlog: *E,CHRINV - parser failure. Also an unclear message was printed
  178. AVSREQ-117945 | LP_1801 | Fix issues with isolation and repeaters
  179. AVSREQ-117937 | JUPITER_ARCHITECTURE | need to find a way to run -mce_acc_estimation that uniquifies the mce_xray.txt and the xmprof.out
  180. AVSREQ-117933 | MSIE_ELAB | 3X elaboration time with bbox vs. no bbox MSIE
  181. AVSREQ-117770 | COVERAGE_GENERAL | Internal Exception: MESSAGE : sv_seghandler - trapno -1 addr(0x2af719025a28)
  182. AVSREQ-117758 | LP_BUILD_PERF | Elab takes 24hrs to finish
  183. AVSREQ-117748 | ELAB_SV | xmvlog locks many files despite -nolock -rexp
  184. AVSREQ-117711 | LP_1801 | An instance within a upf_dont_touch domain gets corrupted at power shut off
  185. AVSREQ-117676 | LP_1801 | via_get_ots_field:No ots structure of kind 52 exists
  186. AVSREQ-117675 | ELAB_SV | TYCMPAT Error for package compiled in two different library with xrun 3 step flow
  187. AVSREQ-117649 | PARSE_SV | xmvlog crashed with message: mnemonic doesn't match any registered mnemonic
  188. AVSREQ-117622 | IXCOM | Error on ixcom +uvmDut flow with two uvm packages
  189. AVSREQ-117506 | DMS_LP_AMS | Xcelium crash after adding lps_common_options on version 20.03
  190. AVSREQ-117504 | ELAB_PERF | Elaboration time slowdown when using -access +r.
  191. AVSREQ-117491 | DMS_LP_AMS | vct specified on wreal and real are not getting resolved correctly
  192. AVSREQ-117442 | LP_1801 | UPF R* build time highly increase in 20.03
  193. AVSREQ-117388 | SV_GENERAL | always_comb block not getting triggered correctly
  194. AVSREQ-117386 | RAND_SOLVER | TRAT: Internal exception when a source file used in snapshot, is removed
  195. AVSREQ-117373 | RAND_SOLVER | Solver incorrectly reporting conflicting contraints
  196. AVSREQ-117372 | CORE_RAND | RNDFUNAC messaging and functionality needs improvement
  197. AVSREQ-117371 | JUPITER_COMPILER | optimization for set-resetable latch to not call table_seq if data unchanged
  198. AVSREQ-117362 | LP_1801 | Linter can't error out on deprecated commands
  199. AVSREQ-117339 | DEBUG_PROBE | Test SHM
  200. AVSREQ-117314 | JUPITER_BRIDGE | Automatically Nacc instances under Compressor/Decompressor instances
  201. AVSREQ-117235 | IP_PROTECT_GENERAL | compilation error when file is encrypted
  202. AVSREQ-117229 | ELAB_SV | xmelab internal error, cu_propagate_expand - vector ring corrupt
  203. AVSREQ-117221 | RAND_PERFORMANCE | iteration limit timeout
  204. AVSREQ-117215 | LP_1801 | gui displays show domain as "corrupt" when running xrio
  205. AVSREQ-117205 | RAND_SOLVER | Solver fails simple bit-slice constraint
  206. AVSREQ-117199 | RAND_SOLVER | TRAT incorrectly detects oob error
  207. AVSREQ-117091 | SIM_SV | xmsim: *E,SYSFMT (io.sv,63|25): $value$plusargs - value found '0x6400000' for plusarg 'nitro_io_base_addr=' does not match specified format.
  208. AVSREQ-117071 | DMS_MSIE | MSIE+RNM:TYCMPAT error while doing partition at boundary having one bit bus to scalar connection
  209. AVSREQ-117057 | ELAB_SV | Support of constant number as actual port in untyped checker instantiation
  210. AVSREQ-117051 | MSIE_ELAB | uvm_pkg is elaborated on the incremental
  211. AVSREQ-117036 | LP_1801 | xmelab INTERR in Green
  212. AVSREQ-117005 | LP_1801 | 20.04-e281-20200419: xmelab: *E,UDFUOBJ: when generating info for latch based reset, for level_shifter
  213. AVSREQ-116998 | RAND_SOLVER | SVRNDF - Constraint failure for solving unsigned integer dynamic array in to foreach loop
  214. AVSREQ-116991 | GLS_SDF | -largest_path_delay is not giving expected behavior
  215. AVSREQ-116988 | COVERAGE_FUNCTIONAL | xmvlog: *E,CGIANS Arrays of covergroup instances are not supported.
  216. AVSREQ-116979 | ASSERTION_SVA | xmelab: *E,ALOOMN - Illegal use of assertion label or instantiation of a property, endpoint or sequence.
  217. AVSREQ-116963 | SV_GENERAL | *F,INTERR: INTERNAL EXCEPTION - XLM migration
  218. AVSREQ-116825 | FUNC_SAFETY_XFSG | XFSG is unable to exclude untestables in standard strobe mode
  219. AVSREQ-116749 | CORE_RAND | Xceligen Solver unable to generate the same random numbers during reset & restart with -xceligen on=1903
  220. AVSREQ-116681 | LP_1801 | Corruption of looping variable by Low Power
  221. AVSREQ-116657 | RAND_SOLVER | solver_order_alg gives wrong distribution on inside list
  222. AVSREQ-116602 | GLS_SDF | Internal exception at SDF elab: Message: sv_seghandler - trapno -1
  223. AVSREQ-116539 | DMS_ELAB | Elaboration error : AMSILC when DVS CM are used with VHDL hierarchy in vddnet
  224. AVSREQ-116523 | SV_CG_PERF | xmvlog_cg showing high memory usage during Xcelium build for SM unit
  225. AVSREQ-116518 | ELAB_PERF | adding -enable_cuv_ewaitset and -enable_typebasedchk to newperf
  226. AVSREQ-116498 | SIMVISION_WAVEFORMS | SimVision Create Bus from Range errors out
  227. AVSREQ-116470 | DEBUG_DESIGN_DATABASE | Source Annotation takes long time on signal #1
  228. AVSREQ-116403 | SIM_FORCE_RELEASE | ASNUSE - Force of member-select for unpacked structs
  229. AVSREQ-116378 | GLS_TIMING | tcheck to support depth all or * till deep hierarchy
  230. AVSREQ-116370 | COVERAGE_FUNCTIONAL | Support for arrays of cover group instance
  231. AVSREQ-116300 | CORE_SV_IN | SV IF signal's value is not being updated due to CB optimization
  232. AVSREQ-116254 | RAND_SOLVER | RNDCNSTE error for unsupported built in functions call in constraint
  233. AVSREQ-116251 | VPI_VISA | textref in RTL not aligned to text when using -ext_src_info
  234. AVSREQ-116166 | SIM_TCL | Need a TCL Variable / Method (either will do) to identify end of simulation
  235. AVSREQ-115980 | DMS_ANALOG_ELAB | Internal exception with Fatal error (CMI-2010) because of Assertion failed in file esimBccoToSam.cc'
  236. AVSREQ-115954 | SIM_SV | Dynamic loop does not wake up
  237. AVSREQ-115941 | DMS_INTERACTIVE | DMSDEBUG: Deliver MXNET Phase 2 to agile
  238. AVSREQ-115909 | ELAB_SV | wrong *W,CUVIHR issued at elaboration
  239. AVSREQ-115907 | MSIE_ELAB | recompile failure of library file in msie mode
  240. AVSREQ-115902 | SIM_SV | Simulation crash with sv_seghandler - trapno -1 addr(0x28)
  241. AVSREQ-115899 | SIM_SV | always not waking on input event
  242. AVSREQ-115851 | COVERAGE_FUNCTIONAL | XLM doesn't support array of covergroups
  243. AVSREQ-115834 | LP_1801 | UPF and RTL connection
  244. AVSREQ-115785 | LP_1801 | LP - Buffer input is 1 but the output X
  245. AVSREQ-115767 | LP_1801 | UPFEERM when using -applies_to_boundary in set_level_shifter command
  246. AVSREQ-115760 | PARSE_SV | EXPPAR for process::self without parentheses
  247. AVSREQ-115753 | ELAB_BIND | E,CUCPTM: type mismatch over port association
  248. AVSREQ-115698 | ELAB_SV | Outputs connected in gen-for loop remain 'z during sim.
  249. AVSREQ-115620 | SV_DPI | Support for shortreal inside unpacked struct used in DPI
  250. AVSREQ-115619 | LP_1801 | check in AVSREQ-107603 Make -lps_time0_isox and -lps_replay_comb_always default
  251. AVSREQ-115618 | COVERAGE_GENERAL | Suppress EILLCT error for illegal_bins generate
  252. AVSREQ-115614 | JUPITER_ENGINE | mce_run.log seems to have overflow in simulation time
  253. AVSREQ-115612 | DMS_AXUM | SV+SPICE: xmelab Internal Error due to bblist
  254. AVSREQ-115531 | GLS_SDF | wrong setup timing violation reported with ntc_level 3
  255. AVSREQ-115487 | CORE_RAND | Backdoor constraint problem: could not find a rand variable named:
  256. AVSREQ-115483 | CORE_RAND | Simulator existing due to constraint processing errors with backdoor
  257. AVSREQ-115468 | VPI_PLI | INTERR sv_seghandler - trapno -1 addr(0xffffffdb) in ipi_cbFast_cuf_int
  258. AVSREQ-115460 | MULTI_CORE_COMPILER | XMELAB:Tool Crash(INTERR) with MESSAGE: dtv_vifc_find_vii_inst - not a module level DU
  259. AVSREQ-115449 | ELAB_SV | Crash with via_get_ots_field message
  260. AVSREQ-115442 | XRUN_GENERAL | customer model: xrun -f elab.f hangs for long period of time
  261. AVSREQ-115429 | VPI_GENERAL | Accuracy issue when reading multi-dimensional wires of > 32 bits through PLI
  262. AVSREQ-115333 | LP_1801 | isolation on outside module is not like clamp value
  263. AVSREQ-115295 | DMS_LP_AMS | SVRNM+LP: Elab INTERR with 20.03
  264. AVSREQ-115250 | XPROPAGATION_PERFORMANCE | Increase in build time with Default blocks
  265. AVSREQ-115230 | LP_1801 | Internal Error with message - vst_identifier () bad class
  266. AVSREQ-115190 | ELAB_BIND | Bind to parent library
  267. AVSREQ-115103 | VHDL_GENERAL | VHDL report REPORT/FAILURE from procedure @std.ENV:STOP
  268. AVSREQ-115010 | PROFILER_XPROF | xprof dump is taking almost same amount of time as sim due to 0% cpu usage
  269. AVSREQ-114951 | FUNC_SAFETY_XFR | xfr crash in FSV testcase
  270. AVSREQ-114886 | SV_DPI | FATAL error during simulation, xmsim: *F,NOFDPI: fatal error within protected source code
  271. AVSREQ-114859 | RAND_DEBUG | min clone doesn't compile
  272. AVSREQ-114840 | SV_CODEGEN | 20.04-e278 leads to elab internal if no access given
  273. AVSREQ-114796 | PARSE_SV | localparam has wrong value when using parameter from macro with $clog2 to size value
  274. AVSREQ-114733 | SV_CODEGEN | Crash on CG at NY with if_reserved.constprop.84 in bpad
  275. AVSREQ-114687 | JUPITER_ENGINE | customer design ZDEL ATPG fails in south elaboration
  276. AVSREQ-114665 | SIM_PERFORMANCE | Update LTW message codes to VTW
  277. AVSREQ-114607 | RAND_SOLVER | Tool Crash with TRAT during simulation
  278. AVSREQ-114463 | JUPITER_HVIEW | hview banner and MCE_HOME banner not in sync
  279. AVSREQ-114462 | JUPITER_HVIEW | hview took too long to report back can't find split_rtl_paths.txt
  280. AVSREQ-114375 | SIM_PERFORMANCE | 20.04-e274 leads to DUT errors only with -enable_var_opt_core
  281. AVSREQ-114364 | LP_1801 | Spurious NOLIBAS note for power aware model with UPF
  282. AVSREQ-114337 | SIM_PERFORMANCE | xmvlog_cg internal error : tl_prone_reader - no dp for net
  283. AVSREQ-114323 | SAVE_RESTART_GENERAL | Processing of "-run" ortakes place prior to launching to GUI
  284. AVSREQ-114311 | MSIE_ELAB | Sign Off: RELAX_SVBMUF support in MSIE
  285. AVSREQ-114282 | LP_1801 | No liberty connections for liberties inside PA model with UPF
  286. AVSREQ-114253 | JUPITER_ENGINE | add the "mce_" prefix to the flag sim_cpu_configuration
  287. AVSREQ-114216 | ELAB_SV | Question about cause of CRCCON warning.
  288. AVSREQ-114211 | PARSE_SV | xmvlog: *E,NOTTXX - Expecting a task name [10.2.2(IEEE)].
  289. AVSREQ-114184 | SIM_PERFORMANCE | VTW optimization not applied
  290. AVSREQ-114132 | SAVE_RESTART_GENERAL | Internal exception when starting a saved snapshot.
  291. AVSREQ-114089 | FUNC_SAFETY_ELAB | XFS Net Semantic - crash with fault_net_jg
  292. AVSREQ-114070 | RAND_DEBUG | Enhance local_oc to show more targeted information
  293. AVSREQ-114050 | SIM_PERFORMANCE | Big slowdown on continuous assignments in generate loop
  294. AVSREQ-114048 | ELAB_SV | xmelab *F,CUITOP message unhelpful for understanding error
  295. AVSREQ-113995 | SIM_PERFORMANCE | 1G RDWAD registry data is loaded in LPX sim
  296. AVSREQ-113867 | SIM_PERFORMANCE | xmelab crash with cu_qualify_ifgen_amalg_assigns on stack
  297. AVSREQ-113766 | VPI_GENERAL | ValueChange cb does not trigger at a change in object
  298. AVSREQ-113739 | FUNC_SAFETY_ELAB | XFS Net Semantic - incorrect vector net information in UCIS
  299. AVSREQ-113732 | PARSE_SV | 1330237 CCR IES to support process::self call without parenthesis
  300. AVSREQ-113685 | XPROPAGATION_GENERAL | Xprop ordering issue with MODULE directives
  301. AVSREQ-113683 | LP_1801 | Spurious W,SVINMP for interface not on the boundary
  302. AVSREQ-113666 | GLS_GENERAL | Support needed for more than 15 UDP inputs
  303. AVSREQ-113584 | MSIE_ELAB | ELABERR: FATAL error observed with MSIE flow
  304. AVSREQ-113582 | GLS_PERFORMANCE | Flash Memory: "-enctran" waveforms mismatch
  305. AVSREQ-113537 | GLS_SDF | wrong hold timing violation reported due to multiple conditional checks
  306. AVSREQ-113494 | LP_1801 | elab crashing in after 2020 kits
  307. AVSREQ-113460 | SIM_USABILITY | Libcache : Handle cleanup of cache dirs if no readers for some specific period of time
  308. AVSREQ-113457 | FUNC_SAFETY_CONCURRENT | Simulation internal exception error while using "-fault_boundary" running fault simulation
  309. AVSREQ-113422 | LP_1801 | UPF The state cannot become partial_on when supply net and supply port are same name.
  310. AVSREQ-113418 | XPROPAGATION_PERFORMANCE | LP with X-prop simulation performance degradation with 20.03.v001rc2
  311. AVSREQ-113329 | MSIE_ELAB | Not able to deploy parallel elab on a GLS design
  312. AVSREQ-113250 | CORE_SV_IN | Request to improve the performance of $fread
  313. AVSREQ-113061 | SIM_PERFORMANCE | Always_block still consuming more.
  314. AVSREQ-112931 | DMS_ELAB | For customer case, the elaboration time of Xcelium19.09 takes three times that of IES 15.2
  315. AVSREQ-112837 | RAND_SOLVER | random constraint issue, generating out of range value for one randomize call
  316. AVSREQ-112836 | COVERAGE_MERGING | Support for Union Coverage Merge on bins level
  317. AVSREQ-112834 | DMS_AMSD | TYCMPAT error caused by the presence of an AMS (VAMS) instance in simulation containing AOIs with SVUDN ports
  318. AVSREQ-112823 | SIM_PERFORMANCE | xmsim INTERR with 20.03 e211 kits and var_opt_core and x_latch_prune optimizations
  319. AVSREQ-112815 | COVERAGE_CODE | Need to be able to enable coverage on parameterized modules without uniquifying type parameters in module name
  320. AVSREQ-112772 | SIM_PERFORMANCE | Support of DELBUF in customer design
  321. AVSREQ-112770 | XRUN_GENERAL | Enhance "-enable_single_yvlib" to be able to change the default name "single_yvlib"
  322. AVSREQ-112749 | MSIE_ELAB | Support -msieunlock oopr_to_class in multi-step MSIE flow
  323. AVSREQ-112735 | RAND_SOLVER | Constraint failure for certain seeds
  324. AVSREQ-112733 | LP_1801 | inverter input not waking up after initial power
  325. AVSREQ-112722 | SIMVISION_WAVEFORMS | 'Save Command Script' -> browse issue
  326. AVSREQ-112719 | VPI_GENERAL | Segmentation fault in ipi_getTime() during elaboration
  327. AVSREQ-112705 | RAND_SOLVER | item.index not supported in constraints
  328. AVSREQ-112704 | JUPITER_BRIDGE | MC Codegen is taking too long
  329. AVSREQ-112658 | GLS_GENERAL | Un-initialized variable issue in CDP ACA
  330. AVSREQ-112521 | PARSE_PERF | Strange slowdown during compilation of a file that compiles fast standalone
  331. AVSREQ-112472 | SV_GENERAL | bit type variable gets X or Z when it can only get 0,1
  332. AVSREQ-112468 | ASSERTION_COMPILE | Document -nocover option
  333. AVSREQ-112424 | SV_CODEGEN | xmvlog_cg crash for function call in constraints
  334. AVSREQ-112351 | RAND_SOLVER | TRAT behaves differently than pre-TRAT for oob associative arrays
  335. AVSREQ-112327 | DMS_SVAMS | xmelab: *F,INTERR: INTERNAL EXCEPTION with SVRNM, SV-AMS and custom connect modules
  336. AVSREQ-112203 | ELAB_SV | Internal Exception while giving type error
  337. AVSREQ-112006 | RAND_GENERAL | Unable to reproduce random sequence between 19.09 and 20.03 (package class static init)
  338. AVSREQ-112005 | GLS_GENERAL | create optimization that can recognize tranif and gate logic that can be transformed into a mux
  339. AVSREQ-112000 | PARSE_SV | xmvlog crash: Verilog syntax tree (SystemVerilog package)
  340. AVSREQ-111978 | LP_1801 | customer requested enhancement to support create_power_switch "-output_voltage"
  341. AVSREQ-111925 | MSIE_ELAB | MSIE error related to "reference from primary to incremental"
  342. AVSREQ-111922 | RAND_SOLVER | soft constraint that should be ignored gets overconstrained and fails the call
  343. AVSREQ-111760 | SIM_PERFORMANCE | ENABLE_PES_WOOMR missing from -newperf
  344. AVSREQ-111683 | PROFILER_SIM_MEMORY | Huge dynamic memory(run time snapshot) consumption in IWB xcelium simulation
  345. AVSREQ-111679 | LP_1801 | RTNCCER error with lps_rtn_bit_precise
  346. AVSREQ-111517 | COVERAGE_FUNCTIONAL | *E, ECGWCK, Syntax Support for Wildcard ignore_bin
  347. AVSREQ-111510 | MULTI_CORE_COMPILER | Compilation failure (SIGABRT) due to too many south phases
  348. AVSREQ-111505 | SIM_PERFORMANCE | xmelab INTERR when doing cloning on CPU ecore
  349. AVSREQ-111498 | JUPITER_COMPILER | using xmroot under the hood for MC support tools is dangerous and should result in an error if mismatched
  350. AVSREQ-111456 | SIM_PERFORMANCE | always block inside gen-for loop consuming a lot, contributing to huge ACTIVE WAKEUPS
  351. AVSREQ-111400 | JUPITER_COMPILER | hview fails to run for Jupiter
  352. AVSREQ-111380 | FUNC_SAFETY_SIM | huge slowdown when injecting faults
  353. AVSREQ-111369 | RAND_DEBUG | xmsim: *W,RNDARS: Array resize error in randomization constraint
  354. AVSREQ-111344 | SIM_PERFORMANCE | VTW optimization not kicking in, for this scenario
  355. AVSREQ-111274 | ELAB_SV | xmelab crash Internal exception error cu_vifc_check_access - mark flags mismatched
  356. AVSREQ-111228 | GLS_PERFORMANCE | CDP ACA creation
  357. AVSREQ-111181 | CORE_RAND | TRAT not honoring the inline constraints
  358. AVSREQ-111134 | DEBUG_PROBE | Internal error when probing UVM hierarchy
  359. AVSREQ-111072 | JUPITER_GLST | build time > 10x of SC for Jupiter
  360. AVSREQ-111032 | LP_1801 | UPF supply_map not making connections to VDD pins if supply ports/nets are defined after the apply_power_model
  361. AVSREQ-111029 | SIM_SV | INTERNAL EXCEPTION : MESSAGE: svhrc_dec/ svhrc_inc - EXTERNAL/INTERNAL
  362. AVSREQ-111004 | COVERAGE_FUNCTIONAL | Bins empty for enum transitional coverage
  363. AVSREQ-111002 | ELAB_SV | xmelab crashes with MESSAGE: sv_seghandler - trapno -1 addr(0x1788)
  364. AVSREQ-110990 | PARSE_SV | *E,MLPFAG Macro used as macro parameter not supported
  365. AVSREQ-110973 | JUPITER_ENGINE | Internal error during simulation - seg fault in PDBox
  366. AVSREQ-110949 | SIM_PERFORMANCE | Cont Assigns taking 88% time. Need to tune sim performance.
  367. AVSREQ-110943 | MSIE_ELAB | MSIE - make features: -msieunlock class_spec_perf and -msieunlock class_spec_perf_phase2 default.
  368. AVSREQ-110910 | JUPITER_GLST | Jupiter build time 4x of SC
  369. AVSREQ-110892 | ELAB_PERF | sv_bushandler - SIGBUS not in rts_xfer when reseting the simulation and when a specific object is probed
  370. AVSREQ-110886 | LP_1801 | create an error if there are conflicting domain_interface_def specifications
  371. AVSREQ-110882 | DEBUG_DESIGN_DATABASE | Autofetch feature in 20.02-e055 does not capture correct source file path information
  372. AVSREQ-110866 | RAND_SOLVER | Incorrect random values generated yet randomize() succeeds
  373. AVSREQ-110834 | RAND_SOLVER | TRAT produces incorrect randomized values
  374. AVSREQ-110825 | XRUN_GENERAL | xmelab crash due to duplicate .o files on command line
  375. AVSREQ-110803 | DOCUMENTATION | Fault Instrumentation: document whether SV RNM and wreal re supported for fault injection/propagation
  376. AVSREQ-110740 | XPROPAGATION_GENERAL | [VHDL xprop] [Enhance Request] Supported for VHDL-XPROP internal variable
  377. AVSREQ-110638 | CORE_RAND | Environment variable to control randomize breakpoint behavior
  378. AVSREQ-110568 | PROFILER_SIM_MEMORY | xmsim INTERR while creating disasm.out: gc_doF - switch (fffffff5:5)
  379. AVSREQ-110566 | SIM_PERFORMANCE | xmelab INTERR with -rwc access: vsto_ots_pointer - zero offset
  380. AVSREQ-110403 | MULTI_CORE_COMPILER | Multiple driver elaboration error introduced in skeleton
  381. AVSREQ-110358 | JUPITER_COMPILER | Improve cone merging for sequential UDPs
  382. AVSREQ-110298 | SV_INTERFACE | an obj path with trailing space fail on vpi_get /read/write access although it has
  383. AVSREQ-110277 | LP_1801 | Power down function does not corrupt output of NPA comb-cell at time 0
  384. AVSREQ-110271 | CORE_RAND | SVRNDF found with TRAT (without error_on_oob=0)
  385. AVSREQ-110261 | RAND_SOLVER | TRAT detects incorrect rand mode
  386. AVSREQ-110260 | GLS_GENERAL | INTERR sigsegv in cdpr_find_node
  387. AVSREQ-110144 | LP_1801 | Find objects command does work with protected code
  388. AVSREQ-109998 | IP_PROTECT_GENERAL | xmprotect make errors into long files encryption( ~582k lines)
  389. AVSREQ-109988 | LP_1801 | UPF does not accept backslash to bit slice an array
  390. AVSREQ-109976 | SV_DYNAMIC_DATATYPES | xmsim INTERR: vst_index_datatype () - invalid class, class 829
  391. AVSREQ-109954 | GLS_GENERAL | clean accidently adding of tran to floating nets
  392. AVSREQ-109904 | PROFILER_XPROF | Please add an informative message to the 'nothing to show' screen in xProf
  393. AVSREQ-109894 | VPI_GENERAL | The flags -plusperf -newperf cause a VPI error at event registration
  394. AVSREQ-109821 | ELAB_SV | Rebuild happens without a change in VST and the rebuild time is twice the scratch build
  395. AVSREQ-109818 | DMS_ELAB | Bit-select of SV interface array connected to electrical net inside a instance shows CUVDNF error
  396. AVSREQ-109760 | IP_PROTECT_GENERAL | xmprotect encrypted files has error
  397. AVSREQ-109755 | ELAB_SV | NOTDOT error to reference sub instans param
  398. AVSREQ-109699 | SIM_PERFORMANCE | long time spent in system calls in single-core relative to multi-core
  399. AVSREQ-109630 | COVERAGE_FUNCTIONAL | running a test in a UVM testbench with VIP results : xmsim: *F,INTERR: INTERNAL EXCEPTION.
  400. AVSREQ-109623 | SV_CODEGEN | xmvlog_cg performance
  401. AVSREQ-109594 | SIM_PERFORMANCE | xmelab fatal error (cu_check_osca_rhs)
  402. AVSREQ-109589 | ESW_ESWDBGEN | Indago ESWD hang in the loading screen
  403. AVSREQ-109558 | SIM_PERFORMANCE | xmsim: *F,INTERR: dt_is_array hit unknown datatype (VST_T_TYPE_PARAMETER)
  404. AVSREQ-109498 | IP_PROTECT_GENERAL | [Xmprotect IEEE1735] Encryption envelope parameters are dumped in a format which is not recognizable by other tools
  405. AVSREQ-109478 | DMS_ELAB | xmelab internal error with -amsdropt
  406. AVSREQ-109474 | RAND_GENERAL | Different constraints being mapped to same variable in clone
  407. AVSREQ-109460 | ELAB_BIND | xmelab fatal error with MESSAGE: sv_seghandler - trapno -1 addr((nil))
  408. AVSREQ-109435 | JUPITER_GLST | Simulation mismatches in MC GLST simulation
  409. AVSREQ-109406 | ELAB_SV | xmelab crash - cfn_execute_stmts - unexpected statement (VST_S_BUILTIN)
  410. AVSREQ-109369 | ASSERTION_PSL | Why is profiler referencing NC_TO_BOOL when user is not using that function
  411. AVSREQ-109362 | ASSERTION_COMPILE | E,ILLPRI with nexttime
  412. AVSREQ-109360 | LP_1801 | spurious LIBINPA related to internal_power signal in liberty
  413. AVSREQ-109210 | CORE_SV_IN | xmsim INTERR rts_ra_wakeup - wm_method when doing $fread
  414. AVSREQ-109196 | ASSERTION_SVA | simulation errors NCNOFA and EMIRR
  415. AVSREQ-109194 | SV_DYNAMIC_DATATYPES | xmsim INTERR svhpa_traverse - no parent datatype when using parameterized mailbox
  416. AVSREQ-109173 | PROFILER_XPROF | xprof hang when loading DB
  417. AVSREQ-109081 | SIMVISION_GENERAL | Source .svcf file for multiple database in Simvision Analysis Environment.
  418. AVSREQ-108905 | DMS_PERF | AI CCR for internal
  419. AVSREQ-108901 | SV_INTERFACE | xmelab Internal error on low power build
  420. AVSREQ-108882 | PROFILER_MEM_XPROF | xprof topping out of memory reading in a db
  421. AVSREQ-108879 | JUPITER_BRIDGE | create a switch to allow serialization of mccodegen
  422. AVSREQ-108872 | LP_CPF | No means to bypass SVUNSTE in Xcelium
  423. AVSREQ-108863 | FUNC_SAFETY_ELAB | XFS Elab Internal Exception on Customer Design - Critical Issue
  424. AVSREQ-108853 | MSIE_SIMULATION | simulation SIGUSR error when using MSIE+systemc module
  425. AVSREQ-108847 | SV_GENERAL | xmelab: *E,BNDERR (./test.sv,18|101): Bit-select or part-select index out of declared bounds.
  426. AVSREQ-108835 | DMS_LP_AMS | The connections of a wire coerced to wreal is ignored on Liberty models
  427. AVSREQ-108809 | CORE_RAND | Randomization constraint failure
  428. AVSREQ-108743 | SV_PERFORMANCE | Massive amount of time spent in a loop
  429. AVSREQ-108740 | LP_1801 | New *E,RTNCCER in rebase RC 20.02.v001 which wasn't seen in 19.12.v001
  430. AVSREQ-108724 | SV_CODEGEN | CODBIG during xmvlog_cg
  431. AVSREQ-108628 | SIM_CAPTURE_REPLAY | Capture-Replay: support time shifting replay values
  432. AVSREQ-108608 | PARSE_SV | INTERR: xmvlog Unexpected signal #11 (p3_hacked_class_scope)
  433. AVSREQ-108527 | SIM_SV | Wrong #1step sampling in clocking block
  434. AVSREQ-108427 | MULTI_CORE_FRONT_END | *E,NLRMO error when trying to compile the ske.sv
  435. AVSREQ-108406 | LP_1801 | MULSAF error Multiple dumpsaif executions not supported errors with -lps_analyze
  436. AVSREQ-108397 | PROFILER_SIM_RUNTIME | internal error when using -mce_acc_estimation
  437. AVSREQ-108389 | LP_1801 | Liberty Filename is not being displayed in Liberty Verbose Information
  438. AVSREQ-108309 | LP_1801 | Use of forces with power aware models cause INTERR
  439. AVSREQ-108307 | SV_GENERAL | Struct is assigning to handle instead of copying
  440. AVSREQ-108305 | RAND_DEBUG | Nested randomization for leaf level object does not work.
  441. AVSREQ-108226 | ASSERTION_SVA | Localparam not recognized inside nested for generate loop
  442. AVSREQ-108213 | MULTI_CORE_GLST | can annotation errors in multi-core (XUFE) be made into a warning like single-core?
  443. AVSREQ-108174 | LP_1801 | Xcelium becomes unresponsive during a low power simulation
  444. AVSREQ-107938 | LP_1801 | Generate isolation only UPF file from user input text file and pass to xmelab (Phase1, integrate the xrio script)
  445. AVSREQ-107856 | COVERAGE_MERGING | Need solution for merge of MDA of different sizes
  446. AVSREQ-107708 | SV_CODEGEN | codegen internal exception with "MESSAGE: gq_e_this_and_super - no thisswboffset"
  447. AVSREQ-107701 | XRUN_GENERAL | xrun "-reflib" option changes timestamp and size of referenced compilation library
  448. AVSREQ-107691 | VHDL_GENERAL | VHDL Support for predefined attribute element
  449. AVSREQ-107618 | SIM_MCLITE | xcelium crashes with internal error: Open fail in set cpulogs
  450. AVSREQ-107614 | ELAB_BIND | Xcelium elaboration reports CUVHNF error but Incisive could pass
  451. AVSREQ-107607 | DEPRECATE_CORE_COVERAGE | FSM not extracted due to logic in combinatorial block using struct element
  452. AVSREQ-107600 | JUPITER_BRIDGE | Give MCEHME error with Jupiter if path given with MCE_HOME is incorrect
  453. AVSREQ-107594 | COVERAGE_TOGGLE | Toggle coverage for enum not as expected
  454. AVSREQ-107575 | SIM_SV_VHDL | VHDL input staying unexpectedly at 'UUUUU' throughout simulation. Drivers not intuitive to root cause it. Need help
  455. AVSREQ-107565 | PROFILER_SIM_MEMORY | Dynamic memory profling missing info in memdetail report
  456. AVSREQ-107495 | LP_1801 | xmelab crash with subelem_chain_next - cannot descend to datatype (748)
  457. AVSREQ-107423 | SV_GENERAL | Crash when recording flow of SV mailbox during mailbox creation
  458. AVSREQ-107356 | ELAB_BIND | search path to avoid *E,MTOMDU: More than one unit matches on -top
  459. AVSREQ-107315 | LP_1801 | xmelab: *E,ILLCNDM: [LPS] No design object is found for name in control condition: "powersys_sram_nxp_peri_pd_b*[0]", position 1 (/mercury.upf:419).
  460. AVSREQ-107185 | JUPITER_GL_SC | Jupiter runtime *F with invalid params -force_flags
  461. AVSREQ-107159 | ASSERTION_SVA | automatic variable confuses assertion
  462. AVSREQ-107106 | ELAB_SV | Case failing with string in elaboration time function
  463. AVSREQ-107075 | ELAB_SV | argument to $bits seems overly restrictive
  464. AVSREQ-107052 | XRUN_GENERAL | -yv handling different in vcs vs xcelium
  465. AVSREQ-107009 | XPROPAGATION_GENERAL | XProp Instance-Level Statistics Display in SIB Based GUI
  466. AVSREQ-106995 | SV_CODEGEN | Enhancement: enable undo liverecording for xmvlog_cg
  467. AVSREQ-106989 | SV_CODEGEN | ncvlog_cg: MESSAGE: gc_qlshift - word overflow
  468. AVSREQ-106861 | DEPRECATE_CORE_LP | Spurious NOIMDR when reg is used as a wire
  469. AVSREQ-106858 | SV_INTERFACE | Interface Self reference fails with TYCMPAT for AOI
  470. AVSREQ-106834 | DMS_BIND | MS Prototype: Add internal option to downgrade EXNEUS error for design debug only
  471. AVSREQ-106787 | XRUN_GENERAL | COD/SIG file written to precompile libraries (reflib)
  472. AVSREQ-106656 | ELAB_BIND | Use of relax_svb* for customers?
  473. AVSREQ-106644 | COVERAGE_FUNCTIONAL | Crash when using cross coverage with ignore_bins with expression
  474. AVSREQ-106589 | ASSERTION_SIM | assertion glitch to "failed"
  475. AVSREQ-106540 | CORE_RAND | Xcelium should issue a warning when a soft constraint is discarded
  476. AVSREQ-106524 | PARSE_SV | macro expansion issue with escaped name
  477. AVSREQ-106029 | ELAB_SV | -ii_wwarn enhancements
  478. AVSREQ-106021 | SV_CODEGEN | MT_VAR(rts_gd_start)->gd_gr_icl is corrupted by "Stream rts_xfer" - xcelium internal exception error at "drivers -active" command at xmsim
  479. AVSREQ-106016 | XRUN_GENERAL | Re-elab with no apparent reason and using -fast_recompilation
  480. AVSREQ-106014 | LP_VPI | Text-ref do not appear in UPF
  481. AVSREQ-106013 | LP_1801 | Liberty log should not report "null" string when liberty does not exist
  482. AVSREQ-105936 | SAVE_RESTART_CHECKPOINT | save/restore intermittently not working while running several tests in parallel
  483. AVSREQ-105932 | DEPRECATE_CORE_LP | Boundary port information defined in update_power_domain -user_attribute{boundary_port..} is being flagged with the ILLBPT warning
  484. AVSREQ-105929 | DEPRECATE_CORE_LP | Fix failures under different modes
  485. AVSREQ-105912 | SV_DPI | create empty dpiheader if -dpiheader is being used
  486. AVSREQ-105863 | LP_VPI | Indago does not show isolation on struct member outputs
  487. AVSREQ-105851 | SIM_FSDB | TCL force stops taking effect without force or release
  488. AVSREQ-105832 | LP_1801 | LP simulation significant slowdown when adding the flag -lps_1801_msg
  489. AVSREQ-105793 | SIM_USABILITY | -libcache: Improve excessive simulation loading time
  490. AVSREQ-105737 | LP_1801 | Need solution/explanation for "xmelab: *W,FTSTCT: [LPS] Streaming concatenation at (./module_a.v:8) ignored for low power.
  491. AVSREQ-105716 | DEPRECATE_CORE_LP | xmelab: *W,NOIMDR: [LPS] The port (top.dut.x.xx.xxx.xxxx.x) has multiple drivers and cannot be isolated by rule x
  492. AVSREQ-105635 | XPROPAGATION_GENERAL | Avoid pessimism in array corruption feature, corrupt desired bits in case of variable index
  493. AVSREQ-105588 | SV_PERFORMANCE | Static analyzer is required to be enhanced for removing unnecessary simulation activities.
  494. AVSREQ-104902 | SV_GENERAL | $sformat introduces an empty space at beginning of string handle
  495. AVSREQ-104901 | XRUN_GENERAL | FLI C Interface LD_LIBRARY_PATH Interpretation
  496. AVSREQ-104782 | FUNC_SAFETY_ELAB | INTERNAL EXCEPTION occurs during elaboration
  497. AVSREQ-104781 | FUNC_SAFETY_SIM | INTERNAL EXCEPTION occur during fault machine simulation
  498. AVSREQ-104737 | GLS_GENERAL | rts_abrthandler internal exception scenario
  499. AVSREQ-104640 | MULTI_CORE_ENGINE | Warning TRZDGOC : Possible zero-delay gate oscillations detected: When -mce_acc_estimation option is added
  500. AVSREQ-104570 | CORE_RAND | *E, RNDXZW Constraints reference an X/Z value.
  501. AVSREQ-104503 | ASSERTION_SIM | simulator internal exception assertion and xprop
  502. AVSREQ-104300 | RAND_SOLVER | RNDCNSTE error: Field of struct not supported in solve/before. Causing SVRNDF error
  503. AVSREQ-104222 | ELAB_SV | Issue a Warning when a type real is assigned to a wire
  504. AVSREQ-104100 | GLS_SDF | Internal Exception with xmelab 1909
  505. AVSREQ-104069 | VPI_GENERAL | VPI cannot cope with value changes on string typed values.
  506. AVSREQ-103609 | GLS_SDF | Xcelium crashes during SDF annotation
  507. AVSREQ-103601 | LP_1801 | odd UPF behavior with -lps_dbc with checker not detecting threshold
  508. AVSREQ-103537 | SPECMAN_TEMPORAL | TCM Extension With Different Sample Event
  509. AVSREQ-103462 | LP_1801 | ILLSSCI Warning -Need to specify Instance name
  510. AVSREQ-102843 | MSIE_ELAB | Single step MSIE internal error - ie_create_master_spines
  511. AVSREQ-102585 | DEPRECATED_CORE_PROFILER | Xprof GUI showing unexpected high activity for a particular interface instance
  512. AVSREQ-102583 | DEBUG_PROBE | OPDB error when probing in 32 bit simulator.. 64 bit works fine
  513. AVSREQ-102561 | MSIE_SIMULATION | Signal not correctly driven after uvm_hdl_deposit in MSIE environment
  514. AVSREQ-102523 | SYSC_TLM | Failure of TLM2 transaction in the code processing extensions
  515. AVSREQ-102489 | COVERAGE_TOGGLE | Request set_toggle_includex count transition as 0->x->1 and 1->x->0
  516. AVSREQ-102465 | COVERAGE_FSM | Request FSM extraction when FSM is using element of struct
  517. AVSREQ-102455 | COVERAGE_MERGING | imc merge is incorrect for mda's when primary run has smaller array even with -initial_model union
  518. AVSREQ-102412 | RAND_GENERAL | need to disable constraint debugger when using randomize(null)tes
  519. AVSREQ-102360 | ASSERTION_SVA | automatic variable in assertion fails - NCNOFA\EMIRR
  520. AVSREQ-102209 | COVERAGE_FUNCTIONAL | xmvlog: *E, SVCNIC embedded coverage group not instantiated in the 'new' method.
  521. AVSREQ-102208 | ASSERTION_SVA | simulation errors NCNOFA and EMIRR
  522. AVSREQ-102207 | ASSERTION_SVA | elab errors CUVIMG CUVUNF with assertion inside for loop and using loop index within the assertion
  523. AVSREQ-102067 | SV_CODEGEN | xmvlog_cg: *E,CODBIG
  524. AVSREQ-101980 | SV_GENERAL | xmvlog: *E,WOUPYR for unpacked structs in clocking blocks
  525. AVSREQ-101474 | SIMVISION_GENERAL | Source code annotation is intermittently not available for a file
  526. AVSREQ-101468 | ELAB_BIND | option for relaxed binding rules followed when a component is being instantiated using default binding.
  527. AVSREQ-101432 | SIMVISION_UVM_VIEWERS | simvision is frozen when opening register viewer in UVM 1.2
  528. AVSREQ-101379 | COVERAGE_FUNCTIONAL | Request ability to display range of fixed size vector bins
  529. AVSREQ-101084 | ELAB_SV_VHDL | xmvhdl_p: *E,ILLUCP error if an SV module port is not connected in VHDL
  530. AVSREQ-101054 | COVERAGE_FUNCTIONAL | Getting the xmelab *E,CGOPAS with option.goal in return statement
  531. AVSREQ-101053 | SIM_PERFORMANCE | Same testcase giving incorrect results with xcelium but not with Incisive 15.20s74
  532. AVSREQ-101038 | DMS_ANALOG_ELAB | CMI-2010 error caused by esimBccoToSam - module/interface parameter publish causes the tool to crash
  533. AVSREQ-100943 | XRUN_GENERAL | xmprep command returns *F,TOOMNW when set -work parameter
  534. AVSREQ-100938 | COVERAGE_FUNCTIONAL | support for arrays of covergroups
  535. AVSREQ-100907 | PARSE_SV | incorrect unused library file warning (LIBNOU)
  536. AVSREQ-100878 | SIMVISION_WAVEFORMS | Simvision browsing tab is not working properly
  537. AVSREQ-100836 | LP_1801 | The separator '/' is not supported for '-pattern' of UPF command 'find_objects'
  538. AVSREQ-100747 | ELAB_SV | CRCCON warning messages in monolithic xrun flow
  539. AVSREQ-100526 | ELAB_SV | System elaboration tasks in for loops
  540. AVSREQ-100379 | COVERAGE_FSM | FSM not extracted if FSM state is an element of a struct
  541. AVSREQ-100371 | SV_GENERAL | Request support of packed struct in clocking blocks
  542. AVSREQ-100368 | COVERAGE_GENERAL | Please downgrade ICFCLD warning to a Note
  543. AVSREQ-100329 | LP_1801 | UPF find_objects is not able to find the instance having special character
  544. AVSREQ-100326 | ASSERTION_SVF | Support for $past, $rose, etc inside task in class
  545. AVSREQ-100296 | COVERAGE_FUNCTIONAL | IMC Enhancement Request: Show coverbin range of values in GUI
  546. AVSREQ-100131 | COVERAGE_FUNCTIONAL | Report auto coverage bin ranges
  547. AVSREQ-99851 | ELAB_SV | Getting spurious elab warning CUVIHR
  548. AVSREQ-99708 | ELAB_SV | ncelab: *WCUVMPW :: if ncelab could report the faulty scope
  549. AVSREQ-99633 | VHDL_GENERAL | Concerns on the implementation of FINISH
  550. AVSREQ-99506 | COVERAGE_FUNCTIONAL | Request ability to display range of fixed size vector bins
  551. AVSREQ-99389 | ASSERTION_SVF | ILLSVF compilation error with sampled value function $sample
  552. AVSREQ-99326 | DMS_ELAB | Array ports on AOIs cause TYCMPAT error
  553. AVSREQ-99192 | MSIE_ELAB | MSIE : Need to support dot-star in port map at primary boundary
  554. AVSREQ-99189 | SIM_CAPTURE_REPLAY | replay configuration file format lead to interr or error without correct message
  555. AVSREQ-99166 | DMS_LP_AMS | Add create_global_connection for domain mapped nets that are also physically connected at parent level
  556. AVSREQ-99089 | RAND_SOLVER | RNDUNR: when using error_on_oob=0 to workaround out of bounds
  557. AVSREQ-98925 | DMS_ELAB | TYCMPAT error when connecting a bundle of wires to an EEnet port in an iterated instantiation
  558. AVSREQ-98847 | ASSERTION_SVF | xmvlog error ILLSVF when using $sampled inside a final block
  559. AVSREQ-98761 | ASSERTION_SVF | xmvlog: *E,ILLSVF error when using sampled value function $past inside a task
  560. AVSREQ-98623 | ELAB_SV_VHDL | SystemVerilog alias statement doesn't "alias" signal when passed through vhdl instance
  561. AVSREQ-97485 | LP_1801 | Confusing behaviour and errors when SV UPF package source file is not added and compiled manually
  562. AVSREQ-97349 | ELAB_SV_VHDL | Issue in VHDL generics instantiated in Verilog Getting error xmelab: *E,CFIGTC
  563. AVSREQ-97128 | SIMVISION_WAVEFORMS | Create bus from range fails when super bus path contains generated elements
  564. AVSREQ-96583 | PARSE_SV | Shouldn't "ncvlog: *W,POLICI" be an error by default?
  565. AVSREQ-96213 | LP_1801 | Bogus warning for SV import UPF::*
  566. AVSREQ-96200 | ASSERTION_SVA | ALOOMS In Design unit hierarchical reference SV assertions not supported
  567. AVSREQ-96073 | SIMVISION_DB_UTIL | Simvisdbutil -pwrincides option not dumping the indices of array in VCD
  568. AVSREQ-95830 | DMS_ELAB | Implement Analog Spectre/SPICE primitive in array of instances support
  569. AVSREQ-95828 | DMS_ELAB | Implement Analog port connections in array of instances support : xmelab: *E,PCAUNA enhancement
  570. AVSREQ-95660 | COVERAGE_FUNCTIONAL | covergroup constructor checking is too strict
  571. AVSREQ-95608 | ASSERTION_SVA | SVA issues NCNOFA and EMIRR error on automatic var in for-loop
  572. AVSREQ-94869 | SV_PERFORMANCE | xmelab performance issue - checkpoint "after aoi"
  573. AVSREQ-94118 | LP_VPI | Support for isolation on interface modports
  574. AVSREQ-94111 | SIMVISION_TCL | Using "waveform print -page_height_number" TCL command generates an empty .ps file
  575. AVSREQ-93654 | ASSERTION_SVF | sampled value function needs to be supported in procedural code of a task
  576. AVSREQ-93610 | COVERAGE_FUNCTIONAL | Support to use covergroup option/type_option in RHS of an assignment expression.
  577. AVSREQ-93399 | PARSE_SV | INTERNAL EXCEPTION: ncvlog sigsegv on reference to unit scope class from package
  578. AVSREQ-93261 | PARSE_SV | internal error on coverage database creation
  579. AVSREQ-93239 | PARSE_SV | the order of the covergroup definition
  580. AVSREQ-93215 | SV_GENERAL | Part select of concatenation
  581. AVSREQ-93108 | COVERAGE_TOGGLE | ignore coverage of 0->x->0 and 1->x->1
  582. AVSREQ-93088 | ELAB_SV | Unexpected APBKEY/APPDFT on conflict between field and type in assignment pattern
  583. AVSREQ-93014 | COVERAGE_FUNCTIONAL | Show values that make up the ranges in a coverbin
  584. AVSREQ-93013 | ASSERTION_SVF | xmvlog: *E, ILLSVF (../BFMs/I2C/i2c_if.sv, 128|65): Illegal use of sampled value function outside concurrent assertions
  585. AVSREQ-93012 | COVERAGE_FUNCTIONAL | Show values that make up the ranges in a coverbin
  586. AVSREQ-92811 | GLS_SDF | GLS with SDF got internal error(sv_seghandler - trapno -1 addr(0x248b44))
  587. AVSREQ-92753 | SIM_USABILITY | xmsim crashing in user code instead throwing SIGUSR but SIGABRT
  588. AVSREQ-92694 | ASSERTION_SVF | usage of $rose in task not possible: ILLSVF
  589. AVSREQ-92603 | PARSE_SV | call static function defined in compilation unit from package lead to tool failure
  590. AVSREQ-92575 | LP_1801 | find_object to find an output port of an output port of an instance
  591. AVSREQ-92497 | DEPRECATED_CORE_XPROP | Warning for xfile override
  592. AVSREQ-92412 | LP_1801 | LPS tcl find_objects pattern matching doesn't support hier separator
  593. AVSREQ-92369 | DEPRECATED_CORE_XPROP | Add _XM_XP_INSTANCE_INFO type information to xp_elab.log under xfile switch
  594. AVSREQ-92330 | ELAB_SV | Not enough information to debug BNDERR
  595. AVSREQ-92225 | SIM_SV | Accessing a non-QAA member from a strcuct containg QAA member is illegal in a Continious assignment ?
  596. AVSREQ-92206 | ELAB_SV | E,NOTDOT when using $bits in a property for a signal in a struct in an interface
  597. AVSREQ-92200 | PARSE_SV | xmvlog: *E,BADCLK when using clocking under generate
  598. AVSREQ-92197 | ELAB_BIND | Print for each instance which packages/compilation units were taken
  599. AVSREQ-91965 | PARSE_SV | Add parseinfo details for unit scope declarations
  600. AVSREQ-91945 | SV_GENERAL | CUCITS on clocking block with unpacked struct
  601. AVSREQ-91833 | XPROPAGATION_GENERAL | Unexpected xprop corruption in generate block of interface
  602. AVSREQ-91829 | LP_1801 | xmelab: *W,FTSTCT: [LPS] Streaming concatenation at (../rtl/fir31.v:20) ignored for low power.
  603. AVSREQ-91783 | ASSERTION_SVA | The $assertkill/asserton does not recognize the submodule hierachical path when bind is used
  604. AVSREQ-91739 | COVERAGE_FUNCTIONAL | Implement a timeout value when dumping coverage at the end of simulation
  605. AVSREQ-91265 | RAND_SOLVER | Randomization constraints contradiction error not able to understand
  606. AVSREQ-91171 | RAND_SOLVER | Randomization Solve Stuck - unique constraint
  607. AVSREQ-91074 | PARSE_SV | EXPSMC error message should be replaced with more information
  608. AVSREQ-91071 | PARSE_SV | INTERNAL EXCEPTION: sigsegv when accessing unit scope class from package
  609. AVSREQ-91024 | RAND_SOLVER | Randomization fails with rand length
  610. AVSREQ-90857 | SIM_FORCE_RELEASE | Force on part-select of variable (Non-LRM request. Currently xmvlog error *E, ASNUSE)
  611. AVSREQ-90650 | PARSE_SV | INTERNAL EXCEPTION: SIGSEGV - Segmentation fault on unit scope reference from package
  612. AVSREQ-89514 | PARSE_SV | Not able to handle PTHNOE error with -brokensyntax switch in real design
  613. AVSREQ-89512 | ELAB_SV_VHDL | Getting CSGMSS error at elab. Code works with VCS.
  614. AVSREQ-89457 | SIM_USABILITY | Simulation writing to snapshot is against customer's policy
  615. AVSREQ-89394 | XRUN_GENERAL | Using common lib in conjunction with -gpg override: -makelib(shared lock issue: corrupted COD) vs. -cds_*_tmpdir (ok)
  616. AVSREQ-89387 | SIM_PERFORMANCE | Sim time Performance Enhancement (Flash Memory Group)
  617. AVSREQ-89329 | ELAB_BIND | Make -relax_svbmuf public or get inbulit support of module based binding.
  618. AVSREQ-89288 | ELAB_SV_VHDL | Generic with record giving CFIGTC
  619. AVSREQ-89218 | SIM_SV | request to add random memory initialization
  620. AVSREQ-89120 | ELAB_SV | Localparam set to X incorrectly
  621. AVSREQ-89081 | CORE_RAND | xceligen on=1903 warns with RNDXZW for unknown queue element when queue size is used in the constraint
  622. AVSREQ-89071 | LP_1801 | LP elab becomes unresponsive when OSS profiler is used
  623. AVSREQ-89021 | ASSERTION_PERFORMANCE | Profiler shows more than 60% time spent in $assertkill for the simulation
  624. AVSREQ-88998 | ASSERTION_SVA | assertion does not work in Xcelium - works in vcs
  625. AVSREQ-88993 | LP_1801 | Update to latest 1801 linter 19.10-d317
  626. AVSREQ-88888 | SAVE_RESTART_DMTCP | Specman error during restart in save-restart flow
  627. AVSREQ-88635 | SAVE_RESTART_GENERAL | How to avoid exit command execution in restarted run?
  628. AVSREQ-88623 | COVERAGE_FUNCTIONAL | Array of covergroups inside initial block not supported
  629. AVSREQ-88576 | ASSERTION_SVF | ILLSVF error with $sampled function
  630. AVSREQ-87931 | SV_DOC | SystemVerilog Quick Reference Guide PDF has a mismatch in "data types: logic and bit" as per LRM
  631. AVSREQ-87623 | COVERAGE_FUNCTIONAL | CGIANS - Support for array of covergroup instances
  632. AVSREQ-87262 | SIM_CAPTURE_REPLAY | Support replay of wreal AMS datatypes in capture-replay feature.
  633. AVSREQ-86464 | SV_CODEGEN | internal error with message gq_e_this_and_super - no thisswboffset
  634. AVSREQ-86168 | SIM_SV | when use $value$plusargs, command line plusargs can not identify "0x"prefix as a number, but as a string.
  635. AVSREQ-86054 | GLS_PERFORMANCE | DRAM: Simulation Performance Degradation with -enctran option
  636. AVSREQ-85976 | COVERAGE_TOGGLE | Enhancement request to add new toggle score mode when specified "set_toggle_includex/z"
  637. AVSREQ-85590 | ASSERTION_SVA | $assertcontrol for priority checks
  638. AVSREQ-85344 | SIM_USABILITY | stop on compressed vector without expand in Xcelium 18.xx.xx doesn't work (xmsim: *E,STWSUB: Cannot set stop point on su
  639. AVSREQ-85156 | DMS_AXUM | AXUM: Support SPICE instance arrays instantiated inside SystemVerilog in the amsd config statement
  640. AVSREQ-84765 | COVERAGE_GENERAL | enum values not associated with source
  641. AVSREQ-84716 | XRUN_GENERAL | Please add an option to allow unrecognized options on irun command
  642. AVSREQ-84542 | RAND_SOLVER | A reference to a rand variable in an array index is not currently supported in this context.
  643. AVSREQ-83522 | ELAB_SV | ncelab: *E,APPDFT, Assignment pattern - unable to assign default value to array element with recursive structs
  644. AVSREQ-82948 | PARSE_SV | Support of drive strength in continuous assignment -NODSCA
  645. AVSREQ-82418 | PARSE_SV | *E, UNDIDN error message if covergroup is defined after new()
  646. AVSREQ-81875 | SV_DPI | DPI: svSetScope error with VPI assertion callback
  647. AVSREQ-79310 | SV_GENERAL | Compilation error is expected when calling semaphore get() task from a function
  648. AVSREQ-78696 | ASSERTION_SVA | Errors reported against internally generated code for procedural concurrent assertion with automatic variables
  649. AVSREQ-77326 | COVERAGE_FUNCTIONAL | print the content of user defined bins (functional coverage)
  650. AVSREQ-75851 | ELAB_BIND | Sign-off RELAX_SVBMUF support
  651. AVSREQ-75798 | LP_VPI | decide on behavior of interface ports when LP is involved
  652. AVSREQ-75515 | MULTI_CORE_ENGINE | seeing poor performance in ACC assertion
  653. AVSREQ-75048 | DEBUG_PROBE | OPDB error when probing in 32 bit simulator.. 64 bit works fine
  654. AVSREQ-74547 | CORE_RAND | Enable XCELIGEN and SVSEED options parsing even if not SV randomization in snapshot.
  655. AVSREQ-74199 | XUFE | MC removes localparam from ske.sv that is needed in a covergroup [XUFE Version]
  656. AVSREQ-73860 | SIM_CAPTURE_REPLAY | xmreplay_scope doesn't allow whitespace
  657. AVSREQ-73198 | SIMVISION_GENERAL | 2146119 Souce code annotation is intermittently not available for a file
  658. AVSREQ-73060 | ELAB_SV_VHDL | SV-VHDL: Support generic map of VHDL generic of integer type array with SV parameter of integer type array
  659. AVSREQ-68274 | PARSE_SV | CCR 1695395 : EXPSMC error message should be replaced with more information
  660. AVSREQ-60277 | PARSE_SV | 1667683: Warning POLICI should be an error with an option to treat it as a warning
  661. AVSREQ-50349 | XRUN_GENERAL | Add option to compile all modules in -y/-v to single worklib
  662. AVSREQ-39862 | GLS_TIMING | Enhance the tcheck tcl command to support scope